设为首页收藏本站

最大的系统仿真与系统优化公益交流社区

 找回密码
 注册

QQ登录

只需一步,快速开始

查看: 2872|回复: 1

[求助] value模块read问题

[复制链接]
发表于 2014-6-15 14:34:31 | 显示全部楼层 |阅读模式
2仿真币
我希望read模块能够一行行读取数据库中的数据,有3列,然后输出的3个值输出到3个端口,但是现在一直只读取一列的值,求解决啊

 楼主| 发表于 2014-6-15 14:47:54 | 显示全部楼层
求指导啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

QQ|Archiver|手机版|SimulWay 道于仿真   

GMT+8, 2024-4-30 00:31 , Processed in 0.010378 second(s), 12 queries .

Powered by Discuz! X3.4 Licensed

© 2001-2017 Comsenz Inc.

快速回复 返回顶部 返回列表